X-Authentication-Warning: delorie.com: mail set sender to geda-user-bounces using -f X-Recipient: geda-user AT delorie DOT com Message-ID: <20151222215329.5582.qmail@stuge.se> Date: Tue, 22 Dec 2015 22:53:29 +0100 From: "Peter Stuge (peter AT stuge DOT se) [via geda-user AT delorie DOT com]" To: geda-user AT delorie DOT com Subject: Re: [geda-user] Verilog as netlist format Mail-Followup-To: geda-user AT delorie DOT com References: <1512221837 DOT AA25291 AT ivan DOT Harhan DOT ORG> MIME-Version: 1.0 Content-Type: text/plain; charset=utf-8 Content-Disposition: inline Content-Transfer-Encoding: 8bit In-Reply-To: Reply-To: geda-user AT delorie DOT com Errors-To: nobody AT delorie DOT com X-Mailing-List: geda-user AT delorie DOT com X-Unsubscribes-To: listserv AT delorie DOT com Precedence: bulk Stephan Böttcher wrote: > I thought about using Verilog as netlist format for PCBs. How would I > convert those to pcb netlists, with some graphical drawings added in the > mix? I would look at reusing/extending the Verilog code in Yosys. //Peter