Mailing-List: contact cygwin-help AT cygwin DOT com; run by ezmlm List-Subscribe: List-Archive: List-Post: List-Help: , Sender: cygwin-owner AT cygwin DOT com Delivered-To: mailing list cygwin AT cygwin DOT com Message-ID: <007d01c1b51e$34a7e7f0$2801a8c0@dcuthbert2k> From: "Dylan Cuthbert" To: "Dylan Cuthbert" , "Larry Hall \(RFK Partners, Inc\)" , "Cygwin AT Cygwin. Com" References: <4 DOT 3 DOT 1 DOT 2 DOT 20020213102635 DOT 01e014a0 AT pop DOT ma DOT ultranet DOT com> <003201c1b504$8f23a8a0$2801a8c0 AT dcuthbert2k> Subject: Re: cygwin gcc DLLs loaded by Visual C++ crashing - SOLVED Date: Thu, 14 Feb 2002 15:09:47 +0900 MIME-Version: 1.0 Content-Type: multipart/mixed; boundary="----=_NextPart_000_007A_01C1B569.A4886400" X-Priority: 3 X-MSMail-Priority: Normal X-Mailer: Microsoft Outlook Express 6.00.2600.0000 X-MimeOLE: Produced By Microsoft MimeOLE V6.00.2600.0000 ------=_NextPart_000_007A_01C1B569.A4886400 Content-Type: text/plain; charset="iso-8859-1" Content-Transfer-Encoding: 7bit The FAQ/User Manual leads everyone in completely the wrong direction. If anyone else wants to get CYGWIN C++ programs running as dlls loaded by Visual C++ programs, use the attached example as a basis, it is *really* simple. This only allows Visual C++ to access the C functions of course, but that allows the generation of a simplified layer to gcc's c++ and our own c++ libraries. We are now able to interface our cygwin programs with our Maya plugin (which is forced unfortunately to use VC++). Regards --------------------------------- Q-Games, Dylan Cuthbert. http://www.q-games.com ----- Original Message ----- From: "Dylan Cuthbert" To: "Larry Hall (RFK Partners, Inc)" ; "Cygwin AT Cygwin. Com" Sent: Thursday, February 14, 2002 12:06 PM Subject: Re: cygwin gcc DLLs loaded by Visual C++ crashing > Thanks for the reply. > > I have searched the mailing lists high and low and as far back as 1998 and > there are some references to this problem and some solutions, yet there are > no definitive "this works" type responses ( in fact, almost all the > responses I found seemed like temporary hacks ) and it would take a long > time to try implementing all the various solutions listed with no guarantee > they work. Maybe I'm searching with the wrong string - I'm looking under > subjects such as "initializing cygwin from dll" or "initialize cygwin dll", > am I missing some important keyword? > > The closest thing I have found is probably the "use LoadLibrary" to load the > cygwin.dll manually and then calling cygwin_dll_init(), but even that > crashes according to > http://sources.redhat.com/ml/cygwin/2001-08/msg00790.html (couldn't find > any response confirming or denying this mail) > > Then there's the (from 1998) use the "crt0.o" to link with and create a > dummy thread and a main() which doesn't exit - this seems like a complete > hack. I think this is probably the wrong direction, right? > > Then there's this comment from cgf - > http://sources.redhat.com/ml/cygwin/2000-12/msg00366.html which seems to say > that cygwin_dll_init() is the way to go. > > However, when I try using cygwin_dll_init I get an exception error, I > couldn't find any definition of a function called cygwin_dll_init in any > header file or library file anywhere so I force-ably extern'ed it. Does it > really exist, if so, where? > > Any ideas, anyone? > > --------------------------------- > Q-Games, Dylan Cuthbert. > http://www.q-games.com > ----- Original Message ----- > From: "Larry Hall (RFK Partners, Inc)" > To: "Dylan Cuthbert" ; "Cygwin AT Cygwin. Com" > > Sent: Thursday, February 14, 2002 12:34 AM > Subject: Re: cygwin C++ DLLs and inter-operation with M$OFT > > > > At 05:58 AM 2/13/2002, Dylan Cuthbert wrote: > > >To anyone who can help, > > > > > >We've been having some fun creating DLLs that need to be loaded by some > > >Visual C++ code. The code in the dll itself, ie. the interface is C so > > >there aren't any name mangling problems. However, using v3.0.3 of GCC we > > >get unresolved symbol errors for any libstdc++ we do within the dll. > These > > >errors don't occur after using the -V 2.95.3-5 option to gcc to revert to > > >the originally packaged compiler - I had a good poke around but I > couldn't > > >work out why the symbols couldn't be resolved. > > > > > >Anyway, after reverting to 2.95.3-5, our test code compiles but when we > call > > >any cygwin dll code (from the VS application) we get an exception error > in > > >cygwin1.dll - if the code uses any stdlib type stuff (such as memory > > >allocation, printf etc). There is no C++ code in there at all now. > > > > > >I've read through the faqs and documentation and searched the mailing > list > > >but I can't find any fixes for this problem (although a couple of other > > >people do mention it). Is there just some obvious initialisation stuff > I'm > > >missing somewhere? > > > > > > Probably. This has been mentioned in the past. You want to look at the > > Cygwin initialization code to see what happens there. It needs to happen > > when the DLL is loaded by non-Cygwin apps as well. Some of this may come > > for free at this point. Discussion of this issue was quite some time ago > > (check the developer email archives if you're curious) and I don't > remember > > the final consensus and/or changes. But, the archives should have the > > history and the startup code should give you a hint of the current state. > > > > > > > > Larry Hall lhall AT rfk DOT com > > RFK Partners, Inc. http://www.rfk.com > > 838 Washington Street (508) 893-9779 - RFK Office > > Holliston, MA 01746 (508) 893-9889 - FAX > > > > > -- > Unsubscribe info: http://cygwin.com/ml/#unsubscribe-simple > Bug reporting: http://cygwin.com/bugs.html > Documentation: http://cygwin.com/docs.html > FAQ: http://cygwin.com/faq/ > ------=_NextPart_000_007A_01C1B569.A4886400 Content-Type: application/x-zip-compressed; name="testdll.zip" Content-Transfer-Encoding: base64 Content-Disposition: attachment; filename="testdll.zip" UEsDBBQAAAAIABB2Tiz9sT7adAEAAGoCAAANAAAAdGVzdGRsbC9iMi5zaFVSS2/bMAy+G/B/4JJi aNNaBnbPpdkDA3Jr0Tsjs7EQWRQkeU4w7L+PdJO2EeCH+NHfg9byC7Q7F9rc19US4McRh+gJnmxy sUBhsDxEJxUMHXgXDoCQyLPFgjspf99u5w8BfkpXhtJjgQEPBGOUzdwAa3hlNlbv3+ThgivGytYd x2isORPour1gKnfGARNBz2OmA1F0YQ+Jx+KCqAWijqSR00XLwLO8oC0j+k+818u7XcJ0+uBRBRfO Lmfp2emdMqxWK4nmFT/xmCBi6XUwQmJP+8kFg9CTENxOTpDAUBI6rz6zx9zfCUFd1dVm+/txvWjH nFodn2+FQK9cOnt/b3BRV782m/XeWu1evg/+6zz2uhIAmtyL1Q4aVoePphNfzSAeOp4yNA0dI6fS oJQ1C7/lYLj5q+r/LsTxBN2cSGJEj5bqykZoXj9Ih/xntkG2Z1joP7SJsKi0JJyAI4W5qX3ZPFMu psvTw9VZSWPQKRUFF0r1H1BLAwQUAAAACAAKc04srf6O93QBAADAAgAADwAAAHRlc3RkbGwvZm9v LmNwcG1QTW+CQBA9s79iQi8YGzDtDdFLe2ni0VvbGIVdmYi7hN1FU+N/7wxIQ2u5sHnz5n1MksBa WgcKKwnOQF7K/ADGO9h5rArUe3hdrSyc0JWw1/6E+vlJJAnAukQL3koLdYPaKVCNOYIrJVhXQIU7 8YA6r3whISMETVwuRxAa6xq5PY6xVubONGNEDSSyJBMoDDpnTETvyVwIeXay0RC+hOIixECACPi5 abcVTGgQkH2a5lwqyyB806CMifO6JppFsjGq2/vQIRM6ttRFRQb9ap8r61SX0Lbt/Da4xeN7bfiC vDG8Y1NLHUHo6LwbAuPK7MPHXp3Kb3ZbK9OUQ1GTgKW3sIDZedZ9ShGoTNNXQZ7M6Zd1reg1nVK1 4AIiCChPXHtbkmJ+ID7pMTzk6DqPa5DgcrQwSbvW+Kd6cBVBH8v6Y2f/fx52t/glo8nvVLw1XfD4 HT/nd3n8sbdl2r3xzw3zyliWFkEjnW/onrzABa9CXOnc31BLAwQUAAAACAAWc04snJ3udn4AAACV AAAAEAAAAHRlc3RkbGwvZm9vMi5jcHAVyjsOgzAQRdF+VvFEBUrhfErKpKRkBcAYj+KMI3ssIkXs PaS8R9c5jFwMXiLDEubA8xOpGqYqcRFd8RiGgk0sYNW6id6u5BwwBimohQveWdQ8fE4vWGAUWxBl IuKPcVY094a+dDxYkpil1OIfgu7gzFaztnK6nLuedqK9px9QSwMECgAAAAAAj3ROLAAAAAAAAAAA AAAAAA0AAAB0ZXN0ZGxsL21zdmMvUEsDBBQAAAAIAKRSTSw8L15o2wAAAEMBAAAXAAAAdGVzdGRs bC9tc3ZjL1N0ZEFmeC5jcHDT11coLklJTKvQSy4oULBSmBre39Ds3Dy52a+5uzG6OaO5pNkByO9u +tB1p+lecwxQLEIBJsjLpa+vgALCnENSi0v0CpIzFCZsm7CmaXPTq6aXzWXNXc1BQDMLQJp6zzeX Nyc1xzdGY2qHOiU/KQuf9jggXdb/bupHXi5eLuXMvOSc0pRUBSWo5gwlkDDQ5BB/F38rheAQF0e3 CD0PhaaTQB/cARp4pGlR05FGx6aNTWfgvjvZ7dNfCLYOJHuiaRHYBJhDEYEw6XjnwaatQEVrm/YB lS9qdOLlAgBQSwMEFAAAAAgApFJNLGia91LjAQAA8wIAABUAAAB0ZXN0ZGxsL21zdmMvU3RkQWZ4 LmitkcFrE0EUxu+B/A8jXpRCs93YLlukuO5uMJjs0iYmgYJDzG6Shbpbu2kjlED2vVOMaZLW2IsH 6U2hJxEvtqCorZDiP+Bd7SnePfi2sbSoN53LzLyZ9/se3xeLMb9mFcv3J6tslg3y3SYMMYcFtLHJ UMUtNLAdLGKVYQ2vUaEdKNFILMbOLfgMe3C0Md/90PreewTP+3uwGyjwDN7CU3r7OvjUKcALOIZD 2Pm9F9ewg3lMkEyld7y9TOp/E4VRO9W9By8J9yq4HkKikWjkolNmFyy77Li2dUlJFHgmq4XbDc6v yLI4Iwo6F2VJo9u0xGVZkrkUj4tTyrSUUHWB86Shpm5pusYvE2wMYv/EOZ2KpzMqz+kLbI5NCYJA xeWVYuVukXluyaab7Vr0i6z44+PZIPmkERd5SlcMrhgaT9Mh7Mg7ruXVfYZ1vIM8WCSfvzyuw8FG hdz75TLld5teazDqPnlgjm0bj+aWllYtm12l0B1vsjoXVgmaNTVzdhyGiS1swvvBze01ODwVCcOF 0eZB6w3B3sEu7JPUTphE2L6+HrqVNDL6QpanTFXJJk2j0Tghp53Siud75RrLOf5qcYmpExMMjvpm x4fh5uu+CR9PsMPet4f7MOo3tn6cxXzeqf8W9E9QSwMEFAAAAAgAYmdOLNZXCelnAgAA0wUAABcA AAB0ZXN0ZGxsL21zdmMvVkNUZXN0LmNwcOVUvW7bMBCeLcDvcFEXKTCsdK3RwXHzB6iJYacpiqRA GZGyidKkIVFODDdDw6FAsjVDXyoP0scoj5Jspc3SriWgHx7vvvvuI49RBGeDU5brbjKfwyswI/Ng Lr6em3swfbMwd2ZoJ2fmm3n4Mb99NAd2eWLuwHwxA/y9ffz+8/5T24uittf2XnCZiIIy8HNNSXrd nfpN4xWXVF3lztr29HLOKEuBSw3B9tsPw9HJIAzsLOwBrqN9RrhEE5BsknQgmZJsG/8X5x/DtrfC vK15Zh3SwD9kQih4rzJBty6kH/YQpHV4dDw+7R8P9mDKZa5jfgmv4fhdHGMSsKNMDMNMJX1Ka+vu yUkM6X7G2IjlhdAdSEeFPOUzFnP5eVwkCctzi7Tfj8d7LqiMiyI4YJYuTImkgoFWoKcM3sQxzBQt BOs6zrEi1FLJSLYMwE+WEytNlwrhA9JGoAbbprOfKrXrPMPeJuVR6rJUOXkOCyI47YDOlshgYhnh elrIRHMlgVCaWf7dEoCnEKzTbZXqhBX4qvriqCSyjIJqt7DWyop4a5QO+FRxjdXY+FYLd9A62Mid 3gZvQ/x3Yo0SEiLEE5/uBgCJP78tQc21Lids1LGyD7JquVfJqw4Ig5c7lrRbv8EXEznD78o5V2cN fKkAKwxCSFUhaVVpncFFRv863Llt/bXaWqm14P+Z2KXcgO36Z7s1XBsNjb1rJ3Vf1VqukW+e9LSV rpDksmzotUqYplaqA0XOgFhVhWaZJJovquQ2vJJv67lLJFy74Kgvs5ldIRMGC06aiDBjeqqou97c JWkV1UUm3Va3PSfFL1BLAwQUAAAACACkUk0s9F7Ml7wEAACoEQAAFwAAAHRlc3RkbGwvbXN2Yy9W Q1Rlc3QuZHNw7VfNbxtFFL9Hyv/w2HJIKrATu4qqLEbyN1b9JW/SkBJk1rNje5L17mo/GveYuSFo +iFQbgj1gsSHVAKoAVGEUCqlwqFSOaCqJBHiEjiFG0dmd732uontpEDVQw5rvZn35vd+783Mz7tn IEeQrhpq1YQEvoxlVcM6CKYlERWKurqIkQkpImN4GfJiA0e4i/EZbJgcGxdFtCTWMBSWFaxHXjn3 6ujImUFwacziRBNLELOILDmwL0FK1RuiCRexbhBVganAxISNc/YsvP/w2hz9im7RW/Qe/Yx+R7+g t9j86Ijtn4mW0jPzxSRwc0QJh2CseX5qHOKqYqiMbFTTZIJEkyFyMNGcmJwI28viqXTE5c/ou+sS uGLVRkdeyCUFIZpOAv2c/km317Lv/k23Hq5vtD5af8Syb9MP6T79lX5N/1qJ2TGt1t7jb3c/3tik Bz/uPtrcpXfoH/Qu3aP36Tbkc9ELDOlgtbamsQI2PfIrMV+iNzz01pcbd3/au735JnzzYIchdZat fn/V8C/3LfaZbrJgFdo7E2iIS1xgUCxt2cirH9D7Vxeuf8ryLd/cZzV+wuq74wt22MAv6zvXfqf3 Hmw8pq3rOyzw4Ob+O28xWix4Jbb22/QJWIHdf+6oDeCORrmRuXHw9g/vLdAtp8nw8+3N3T4Jn4Qt YRmLBuZgbPgBWdPGByC5BE+O457TGK4RxbtJ9kSxVChCVJbV5SLWGUyV1BJYw4qEFUSwAROdIAGh sr3QvnfAcT3zWRWJclE0644jXixGkBzATTw6UhLiER25NmOTSQFwL46xzo9zEIn07ZPL1sGPRYUk zBq4nEvFfXQ6005DyllS0UW9l7ETUrBMzTLLCaID10X3R2QUE+sNLBEmBoPiZkS9httIXddhZoNJ DeRzDCqHWEQTCZcfazsEFVVWayoE58IQTL8OwUIIggmmSpl8OMQ5Zj6RjM2mXbscL+SFQjbZHuVi cYGZ8xZnmJJYbQbqbJRKQBB5iZ5FDqcYdm4gKDOxPDc5CUGpA+kF9ffHhHg4FKkYiN1x7B48H67j 9UroeHons5n8BQYhE2Xp0HrXB0tYV7AcDgVkUgHLwHrbrEmkbS0TxdBUVXYGSG1Icq3tEaXLouaF GXUsezjsBnct0TI9eItI7qxUQV4AM5HWHjxfbDrHw7AqxhXDxI1p1JanYENEdaLg6Uz4/JTX1tOO /ncdZQqbzArJYSrr/ck9tcZO9tdYD3uwwh4V9W/0tUtoAJehNE6irQ1X+y5lmP5JT+pf+Sn0L30J Bgrt/5zwaNUtD1Hd8qnqPv8aIdmnvFcqIKhJFfOKhqcNrJmnUvyM2mzrcz7B5Nn/Nu6qjjvjvlz3 fSnuE9CVMRcxrauWBpygWjrCzoet4Vf7BK6KlmyWmYMJInBI03jEo2aT1xEv4Sqv86ok84Q9dW2R r4imD9sHakMKhdlSPBkJLAimFGXiwrB6JGwedWXHdiQVqRdiGG77g62Ne8Rye8op+FD9r2FRYvUN rb/OytT4Oqu/3uCJIh+/2vqJOZWwccxdIUjlkaXzlYbGsxPN9ibEHpOvEIXXawZfI1V+UauxBzs/ XJ/E/Uoose7kcMBsmgOK8M6mO+p8N/4DUEsDBBQAAAAIAKRSTSx+ZQrf4AAAAB0CAAAXAAAAdGVz dGRsbC9tc3ZjL1ZDVGVzdC5kc3fzzUwuyi/OTytRcEktS83JL0gtUgguKU3JzFcIzy/KLi5ITE5V cMvMSdVRcMsvyk0sUQhLLSrOzM9TMNMzMODlUlboqeidYKXQtLHpzJ0NO/aevb9hr8KZ5ZtuKjR9 mHqpP7zpTtPeprO9b/vnNG1tOtG0qOlo09qmfU2bmxYp8nIBtVMXgIwMKMrPSk0usVJQCnMOSS0u UbLVi4Gw9FKKCxR0FQISk7MT01MV/MvzUotsbUzswLoggrY2pkBudXU1L1dtbS2KhAmaBGHXkARA Rrrn5Ccl5lgR5x5j2rsHAFBLAwQUAAAACABTX04sOAhOlC0CAABRBQAAIQAAAHRlc3RkbGwvbXN2 Yy9WQ1Rlc3QuZXhlLnN0YWNrZHVtcHWUTWvjMBCG74H8hzm2UJax5NhyIAdbH1BYtgenPRmK4yhp dpsPYpdN//1KtqQm3UQXjWf0zDszFpKnRh+6zX43hXKez5/L15xzWZavL49PP/P549MvqDvQm8Ms iRDzLEvHI12fZugW6MXJhvI4Ixx0cx5ZnmaGiTFhMeh2cx7ZBMakWxxMKCIqy+05/5FxOBz362O9 nclp1Xyu/2521dt+q6vyzVgm9ls3XVt1uu2W7+/VC58bqxJ68bF2Hz/0SY9HTWszFrC0O6FGYthX dqcM1m1fGbSDfzwqu7r5A92xbvR0PFKmAg12qY9dY0cFkB/X7XgUigY/G4A73/EDOAuDNXmAHsmR 33taOJqJ4oxGe3JYnolMRnOQiDyhnjZOR0uOniYFv1TsV9rTMdIsDnTiKyeCBDplnkliry0GbaQ8 mgSaDXSME6EMfV7vZeWBLpgItO+bcpbcpPtFvBWxQCtHxzT+pk14gsiZ0y6I0U5TxYjimacLNHRk E6JgQ9+XitGVKjDQxNA+paMj5MP/vpkn0LmjeUrZt75NWP335ynhWehbUu5oqdKeHuKOlkWwOAZf 0JbSaScqooZOlRLm4LWZo52anCSJSD2top62TtuD0Y4JwQm7QZvcCr/6No0B2PtlLgz96rufEI1R 5ugsRc4yBpp7msjsxm3xildohUPlgpLhrtlE1/rmXjvqablbwn4F7ddzAHfb/VE7z8o+DC1s609Y aPNW6Vbvuvt/UEsDBBQAAAAIAAdzTiyr+pMmoQ8AAADCAAAXAAAAdGVzdGRsbC9tc3ZjL1ZDVGVz dC5vcHTtXQtwXOV1Pve/etprWbZlbJMabm0exrZsPYyNTWpYrVby2tJK7K7lBw5ivXuxF6121bsr yzIhEq0HQsLDPAxJZ5zQTmeglNSKQ4MKqBXYUArmEWiJHcqGzNB2SptOZ9KZPsE957727u6VZJN4 Wibn3zm69//POd85/+P+997PK+udt+d99Pvfv/RnUFQ2gwyfnauGCkebZIpeagH1587RqXWkco7L F6p8iqLgvMkoZSjlKDTnlShVKNUos1Bmm/M8B481KHONJQDzUOajLECpQ1lo2i3C42KUJSiXonwJ 5TdQlqJchnK5aRfA4zKU5ShXoFyJchXK1SgrUK5BWWnarsZjPcoalLUoDSiNKE0ozSjrTLv1eNyA ch3KRpRNKNejfBnlt4DWtmF3Ix69KC0oPpRWFD9KG0o7yhbTjmQbnnegdKIEUbpQulFuQgmhhFEi KNtNnx143ImyC2U3ys0oe1C+gnILSq9p939dQpDGTxYU7HkKjxoMw4WUS3DFWFhiBlvl4395f9Gf viQ594sdGF2DPsjAAEQhBipmEsY8oijqdGBmUUDoa5TW7jkH7nRFz7POOG/B6GkYwvgqnl14qQUh 0bVD++L5xvfQDzO+H+KQwL6mP1d0uhaFRNerNQczOgDNGdjxJZw/yr3iQCyrZrLr/Zv2xIb3DSVS e/an+9U94f14NqClb1dj2cwesognk3t6fBE8Mw9r4pkB/a4QR5lwhKF0/opC4J5yt2QMO079iL5U yg1bebTsB398/KUNMu08AncLAZIWN8FeRqf/Ms9fcgB/WxjzTcCvgAGM+9gIte8Xhi0CH337yKNO YKEdRAXJ+whcI5UCU2YEfFQUAlP7YzZw+U9ezE1M0iZDm+US3Dpl15TjJqo8Kr/73hmnfZlrJnn7 yufHzk5MHh93+pRr9+EZySdov6zEp+r+Z+6/572xU7l/dzhVak/gGcln6LC+yOm6xtXSavPcWr9U /gflH1FS2EbyVRyT24Ue5G/Hxife/OnYxPpqCiLri6c2Q4DUG6v3p5yZQX7W2yVDh0Dvvvq9MeX1 3NgNMplI+MG1myUHWph/Lxm7PIFcCoXFWj50Z5FMcGqntksN8InJDydzOBRrHeBSlm4BtM0/il2J muCXFQETaK8wbh/m484otd8qDFuc/TdyZ0/lrpKsWyYmk30Ez+5CGUar7xYhS7qVgfJzyUKpOn1W mRg/M/YhXYvG/nHunJylK5nuoL8Jxh2tcIo9emjlnVdp4Ky+UQbSIKHTrel+lD4o7dtOs08/F8Yt irLC01FqPwpWVrI30rEGDNxKHffNolKYUNmZvxibMBxIsAczOFRO5k58/4Px3OW6vdB9ymbwqXj+ w1MfjJ2lB4oyM0z5YAPm/RjWDuLRX3otjOd+fPqp4+MTCyVyKtOdKnQnMjqEx62o6XE4EbS1TH8B hk4eFUfaFjkgKnWIHmwZwuMbknHbL4agFf47YOgwl5PPTZxSXsYOlOU7UDX4sJn0CgR6DYo7UHb0 3Qd3WAMraGXONLAnc6/njo/nNpg+S+hyOnAGgxxGeQGDvFgySmUP3PTgq5sdQaQD0wcpG3/2zNjl psNSijA0vcO8k7mxyTdzYxMKzTtOyo+c3tIM3uUnx07nzjo9xAweVVa8zQ4neQansjdy3yvoVdmQ rC2Bk/dgCx6fvadkd8ZF/42/fPzYZaaPvgfOFOSbHd+6xQqyGIPcOoPD7B889QJtYXSpN5uXugf9 vj70E9R/DeVjnNAT4L6N0TLYWXSpU/sucxvb+fZhgROAT/i+1nSsb0cqLtFT6ijd7Gh9Wnuq8Tyx RN8G8xE26Hj5+naKOZKv/+tbh8XyUf0paxTvJSO0tqzbqGFxyrzWLI+7pUKEgWOEIOsIn+oa/cbk sHjqO2RRNo2Fol6j3DFreVy9LZFSFW+wtdfnjfi2rIglo5lMbyrar64mkzuVWDQb26842pWVhb5+ y1e5027T673ejo4VTgyf/2BMHcgm0qmVU4Q/fxe/08URucXfHgj2+ro6tncGezu93SsOXqPouSu9 vUFfS6+lWr78oLJJGRjcm0zEFKOtGD2PgQldXxIhGAl1dUwRwtAVxdAbS4LkYVyjdE4RobMYvbME uXOa3IN+XyTQFezt7goEI1N1wjQybIo7U4BQ2qvSAC6J+HdG/MEwmYWD3m5sCHa1+gPBti7XjGxr w9i2LchsSsiiFKcP7ZJrW6Aj4g+5JmaqCvIw2oqCOjBcInSG213hqb0AGxuKgC1XF9Sulq04Fa7A pqoA22grgndguETo9oa87svU0BTg601F8HkAN/RQV7c7OCkKsbGlGNryngLZH4rsmhJdV5ZEoFaX KDbSFJG6ve1+10h5bUkoanUJZUO5hAr7Qz0Bn3skS1cQx2wsCuOEcYsSCG5zD0GKQnxsKQa3vN2Q 9asx0tXV0eINBVrdgxTZFMYrVBaHdoF3yWJ7d6s34u/d/gpcIx6AB+CFrhri/JRXYKUYhEHYlLLq q8TD8DAM7MR6E9VXi8NwGB4ie4nq9WIERuA1W79GHIJD8FGf5b9WPAfPweu7rXqDOIHPLT9MWPVG 8Tg8Dv6kVW8SG2EjTPZb9WbxJDwJ/2zbrxP1Ur2UsOvXioXyQrnXrq8X1XK1vMiubxA+fO37a3wi MPK7TsyBObDp9hqohRvnvwIbRTt+Ttj1G0RY7BKP2HWf6BQ3ibRd94tt+HnPrgfFzSIuFtv1m0Qb fiJ2PSS24OcJzKdazyckvg3H4Pnd+fofwTPQfXMNzIMbsR4WFXKFPLoL9Xq+YXElNMGynnz9z+Et WOKovwPLpU1JCy8svgt/AH+XyNf/DF6EY9l8XYU0jO/M+98N90K9A28b3AJzUnl7PwTgIQferRCD kEP/TVw/w135+m/j+vnYgX8E188Vjv7cheun31H/Kq6fZ/vz/kO4fp52xHsW18/1jvqf4Popc8R/ FNfPLQ68dbh+vr4rr/9DXD+nHf5X4vrp67PGOyLmy/PlH9r17aJOrpO32vUeMU+eJ99n13eIBfIC ea1d3ylq5Vq5zq7vEnPlufJnt1v13aJGrpHn2vF3i+txPUZgQAh8jl5ctk4XWp8ky6IeeEOT4Dv4 +Kz5Zb0tcFyy9detrNLlhZ8Ju60l64H2Hg+IbR74j694YH/AA/fe64GlRz22TbFYOLL+fqAXOmH+ j4CZ/2P+j/k/5v+Y/yt0YP5PH2vm/5j/Y/6P+T/m/5j/Y/6P+T/m/5j/Y/6P+b8vIv9HDGBnx1b6 aiu9KDD/R8DM/zH/x/wf83/M/xU6MP+njzXzf8z/Mf/H/B/zf8z/Mf/H/B/zf8z/Mf/H/N8Xkf9z +/3rHZCAFL5X0O9Fz1SWgZDwOjOevs/ln+inK8RuWL9/HIBulF5836YxUTGP7HSuJeUS4/XYLjN7 AKyiH0XxP2+Z9TniX0s/zPg+SOK4Z/DTg6Ou4ohf8PhfcPwb6IcZnyi8CoPIrfbRLagnoQ6Vf9nf Fdo8y2hWxn88YTRM0VzSUNWWSKp5nKUWaahcbVhevUlpVE7/9IMXc0+Pn3JCn4/lbDPa6SdPnHX6 FmfP/C8w/8v8r1mY/2X+tyQI8796r5j/Zf6X+V/mf5n/Zf6X+V/mf5n/Zf6X+V/mf38t+N9bPzHe EOpMWq1e2ZFINTcprerewX2znN/wxHeFbuOLoK62lxQ1htSkGs2o9BYgjdLzOJ39d7n1dO6KUWj8 Sblku0mmW0VVXTjcEsKUZ4MvEtX2qdlAVu13RXPYe8LpQS2mKkRMZiSYZXSlXUsPDpgW5VV1rVt3 eLao0biqzWhXE1Iz54cox1Ucuzp8z1IH1FRcTcWGfelUNoo7sWYaVu/Fccpm4mv2SzDXaUjYBWDO nyWcB/N/zP8x/6e7MP/H/B/zf8z/Mf/H/B/zf8z/Mf/H/B/zfwVZMP/H/B/zfzTezP8Z5Fr+6Txf qIX5P+b/mP9j/s+N1WD+zwWCVjjzf+TA/J8dhPk/5v+Y/2P+j/k/5v9cuDPm/wpCMf/H/B/zf8z/ GXXm/y4e/2f9/Wd6G5gNPl8y05aMh5PpveYvBdOTNPN/zP8x/8f8XymrwfyfCwStcOb/yIH5PzsI 83/M/zH/x/wf83/M/7lwZ8z/FYRi/o/5P+b/mP8z6sz/XTz+rxVU2IvztQ8/KmhwoWU+XPj/P7iV fiw2zltxLcYwfj9GT0EWMtM5upQ6EFIVGIzX+cand3vr/z/8VZQL7f+vuvwy8WfpJB4Vi2ItRtl8 x+rP8UeBYgMDq+9U1jQ1XzToxo0XDbrp4kGvu3gD0txMsFNS4V8Cnaq4sZr4Zy2drPdZmVRh6xyr tUVTo32Wht7zF7ZGs9Hs8ICqdCYy0WRiX6pfTWVNcpTWzmStNxZT8eGlJ5FORulN1lJWoHJOIKV0 R/fhw4mmpTVLsxQ1CwLJpLovmlQCqUxWG4w5Pe9D/UKvpkWHlZb0YCqeUeg1WY2rccvifrS4pC2Z jmaxi6m01o9AXQOqFk3ZJg+gSZ1pkjiQiKvK3mFlt6qlLYMH8waBlHowGssqITUzmLR7dwQNFlkG B7DzcSOGM9WH0KbGsOk6oGq3JdNDluphVM0zVOFsNNan+ParsT5L+whq5xra7al4oeci1FUH00qn 2p/Whq3WK7F1cTCdiuFcJVKD0b1JVbHpA8voKn1ozWxbE5mBdCbh1D9KAxdIZdV9qjbFuBylSbVM ijv1GPl3a+iIs6fG3WbvUxoSo8fF3tdKuCRaOzqUYDqrtNHUWpoW1CwhTSCF+WL2h/RhVtqiGMi2 +hr0T9Z2puOD2PUSiBFULujW0jE1Pqi56KsoL2totuBKSapgqmKZ/o8WdSZiWjqTvi2r+FatKh1Z KsQ4ldOfLGs0j03msdk8rgO4WpJgs6R7MP/L/C/zv8z/Mv/L/C/zv8z/Mv/L/C/zv8z/Mv/L/O// C/7XB3dhHlVwRF6nS8NuDzyDWNuHPfDBkAf+BrGe+F0PXIExfoSYu37PA09i28uY58igBz6pkiGM 75gjaPv+7Eq9vrK9EiK1ZbD2HyT4p0OS3p//PCbp/aE/BE59oxyonY6H45X68a1eI9/X7jHan86W 5v9cS6Vt72yzzrlw4XL+pQLo67FQTtTUtwo0vrW+VatK7GX84GUN83EvK1Gahb4u+Qu0qZzGpgI/ RApKts1clJWm1jpaxbPGwXVfdUeT19+0wdvsq2/c0HZtfWNja0O9t62xpb6hwdvg29jQtrHVv/5O APpuM7EQxCOY/zxif7fZQv43NFBQmP9n/p/5f2D+n/n/KYMw/w/M/wPz/9TG/D/z/8z/M//P/D/z /8z/M//P/D/z/19E/v9/AVBLAwQUAAAACABzc04sfP3X1ZgCAACJBgAAFwAAAHRlc3RkbGwvbXN2 Yy9WQ1Rlc3QucGxnzVXPT9RAFL436f/w0jsdYCMxDSEu3V1CsrDGBVGsmrYz7hbaTrOd6u7FhB6I hh8heNCTHvVAooJEwnlPuIlHJLqLZ+OBP8Fpd5Y1hAMaTWjTne99ffu17/XLm9Eq89wxWRq1KG4k a1AjyVIdGvvUOWp24tbXvc4o4mFCZvjvwDnHmrGxpcFNfYaEDAZgzvEzw5AjVlQ5L5sroVQqEdz/ 3G524HC7LbgnP9Zftl5vH4Gia0aupM9O5R8PGWHV8Y1iSc8WyzyaIV5g3Chfv5JXmRcoEJ+s/dzY ineXsuuv4taz789JfLJSfHEv3o2/xR9l6Y4sIZ+6tEIBTRUxoLkMoAmPX7cAzU8CKnEuB8rc5HRm WEnh/Vx+fHZCYL00XS4V8yKaGtfLHBYCJa0QdctWA7vK2duREjJsPqirSVSgIifB+Dec48+eB2SD LCl5zbAblUe8wCr1iFFOSg1qdIHYLDQYl8aua3QfIhbVDgJFlu7Kkl4jJnP8CtjU80wfg+v4BBTb VUmdwLWLt/APG1+4fI23/2vjywxnufY/bHzhbxo/Mnjhxi+Smk/czLDqOhZEIakJWMGOQLzyMKDU TQNeB3Yr4o6JH5pBLy2sErenQ13SR2bEevKRg7sstuxeAod2IIJL9TKnlgwjK2yEjHiaTf2QiwBy fLtGPOIz09UaJAQUYEs74zdscSfhhALkmTb/UESbzFwdAUQjdiaZu0FJRVgjIFpIAsa/uGqkOT1T UWuhz4n/pRz32el4BIU7bPGi7jr1SSvxyeZyd9a+32m2jt82YecNF90RA3e/fXC8fbS5rKqqLPVt fuZGf/DI0pf2nmC7ZyK9uvL0QAj2K+dbwYfDdzC4lF2tr23AYDL4xf6CevsNEhvQL1BLAwQKAAAA AAB9dE4sAAAAAAAAAAAAAAAACAAAAHRlc3RkbGwvUEsBAhQAFAAAAAgAEHZOLP2xPtp0AQAAagIA AA0AAAAAAAAAAQAgALaBAAAAAHRlc3RkbGwvYjIuc2hQSwECFAAUAAAACAAKc04srf6O93QBAADA AgAADwAAAAAAAAABACAAtoGfAQAAdGVzdGRsbC9mb28uY3BwUEsBAhQAFAAAAAgAFnNOLJyd7nZ+ AAAAlQAAABAAAAAAAAAAAQAgALaBQAMAAHRlc3RkbGwvZm9vMi5jcHBQSwECFAAKAAAAAACPdE4s AAAAAAAAAAAAAAAADQAAAAAAAAAAABAA/0HsAwAAdGVzdGRsbC9tc3ZjL1BLAQIUABQAAAAIAKRS TSw8L15o2wAAAEMBAAAXAAAAAAAAAAAAIAC2gRcEAAB0ZXN0ZGxsL21zdmMvU3RkQWZ4LmNwcFBL AQIUABQAAAAIAKRSTSxomvdS4wEAAPMCAAAVAAAAAAAAAAAAIAC2gScFAAB0ZXN0ZGxsL21zdmMv U3RkQWZ4LmhQSwECFAAUAAAACABiZ04s1lcJ6WcCAADTBQAAFwAAAAAAAAABACAAtoE9BwAAdGVz dGRsbC9tc3ZjL1ZDVGVzdC5jcHBQSwECFAAUAAAACACkUk0s9F7Ml7wEAACoEQAAFwAAAAAAAAAB ACAAtoHZCQAAdGVzdGRsbC9tc3ZjL1ZDVGVzdC5kc3BQSwECFAAUAAAACACkUk0sfmUK3+AAAAAd AgAAFwAAAAAAAAAAACAAtoHKDgAAdGVzdGRsbC9tc3ZjL1ZDVGVzdC5kc3dQSwECFAAUAAAACABT X04sOAhOlC0CAABRBQAAIQAAAAAAAAABACAAtoHfDwAAdGVzdGRsbC9tc3ZjL1ZDVGVzdC5leGUu c3RhY2tkdW1wUEsBAhQAFAAAAAgAB3NOLKv6kyahDwAAAMIAABcAAAAAAAAAAAAgALaBSxIAAHRl c3RkbGwvbXN2Yy9WQ1Rlc3Qub3B0UEsBAhQAFAAAAAgAc3NOLHz919WYAgAAiQYAABcAAAAAAAAA AQAgALaBISIAAHRlc3RkbGwvbXN2Yy9WQ1Rlc3QucGxnUEsBAhQACgAAAAAAfXROLAAAAAAAAAAA AAAAAAgAAAAAAAAAAAAQAP9B7iQAAHRlc3RkbGwvUEsFBgAAAAANAA0AVwMAABQlAAAAAA== ------=_NextPart_000_007A_01C1B569.A4886400 Content-Type: text/plain; charset=us-ascii -- Unsubscribe info: http://cygwin.com/ml/#unsubscribe-simple Bug reporting: http://cygwin.com/bugs.html Documentation: http://cygwin.com/docs.html FAQ: http://cygwin.com/faq/ ------=_NextPart_000_007A_01C1B569.A4886400--