Mailing-List: contact cygwin-help AT sourceware DOT cygnus DOT com; run by ezmlm List-Subscribe: List-Archive: List-Post: List-Help: , Sender: cygwin-owner AT sources DOT redhat DOT com Delivered-To: mailing list cygwin AT sources DOT redhat DOT com content-class: urn:content-classes:message Subject: RE: iverilog app not recognizing full path MIME-Version: 1.0 Content-Type: text/plain; charset="iso-8859-1" Date: Mon, 15 Oct 2001 16:53:01 +1000 X-MimeOLE: Produced By Microsoft Exchange V6.0.4417.0 Message-ID: X-MS-Has-Attach: X-MS-TNEF-Correlator: Thread-Topic: iverilog app not recognizing full path Thread-Index: AcFVReI4rpVLuIerSFyMnat/AlJOTgAABt9w From: "Robert Collins" To: "f m" , , Content-Transfer-Encoding: 8bit X-MIME-Autoconverted: from quoted-printable to 8bit by delorie.com id f9F6j4129485 bash escapes the \ cahracter, so you need c:\\home\\.... Rob > -----Original Message----- > From: f m [mailto:fma2000ca AT yahoo DOT com] > Sent: Monday, October 15, 2001 4:43 PM > To: cygwin AT cygwin DOT com; geda-user AT geda DOT seul DOT org > Subject: iverilog app not recognizing full path > > > Hello, > > I just installed cygwin and am trying to invoke > iverilog (Icarus Verilog) with limited success. > It only recognizes the test program if I do not > specify the full path. > > CYGWIN info: > ------------- > I can't remember the cygwin setup version, so > I'm obtaining this information by visiting the > website, which says DLL version1.3.3. The setup > program is Setup.exe version 2.78.2.9 (I cancelled > it right there because I don't want it to do > anything bad to the already installed cygwin). > "uname -a" gives "CYGWIN_ME-4.90 FMACOOP-1 > 1.3.3(0.46/3/2) 2001-09-12 23:54 i686 unknown". I've > attached output to "cygcheck -s -v -r" as > cygcheck-s-v-r.out because it's quite lengthy. > > ICARUS VERILOG info: > -------------------- > Version 0.5, using installer program to install > prepackaged binary. The simple test file "hello.vl" > is right out of the QUICK_START file: > > module mail; > begin > $display("Hello, world"); > $finish; > end > endmodule > > My System: > ---------- > Dell Inspiron 8000 with PentiumIII running at around > 650MHz. Here is a transcript of the cygwin's response > to my commands, first using local filename > specification, then using full path in 3 different > formats (this is after I moved it to a directory > such that the full path contains only short directory > names of 8 letters or less). Each of the 4 > invocations to iverilog starts the line with > "iverilog". > > $ pwd > /home/unknown/Test > > $ cygpath -w ~ > C:\cygwin\home\unknown > > $ iverilog -o hello hello.vl > > $ ls > Test.txt hello hello.vl > > $ vvp hello > Hello, World > > $ iverilog -o hello c:\cygwin\home\Test\hello.vl > c:cygwinhomeTesthello.vl: No such file or > directory > No top level modules, and no -s option. > > $ iverilog -o hello c:/cygwin/home/Test/hello.vl > c:/cygwin/home/Test/hello.vl: No such file or > directory > No top level modules, and no -s option. > > $ iverilog -o hello ~/Test/hello.vl > /home/unknown/Test/hello.vl: No such file or > directory > No top level modules, and no -s option. > > What is wrong > -------------- > The source file hello.vl is not being recognized > in the last 3 invocations to iverilog. I can't > tell if this is a problem with cygwin or iverilog. > So I tried an "ls" on hello.vl. It turns out that > neither "C:/..../hello.vl" and "C:\....\hello.vl" > are recognized, but "/home/.../hellow.vl" and > "~/.../hello.vl" are recognized by bash. I suspect > the latter too are not being recognized by iverilog. > > Is there anything obviously wrong? I already looked > at the FAQ and the mail-list archives. > > Thanks. > > FM. > > > __________________________________________________ > Do You Yahoo!? > Make a great connection at Yahoo! Personals. > http://personals.yahoo.com > -- Unsubscribe info: http://cygwin.com/ml/#unsubscribe-simple Bug reporting: http://cygwin.com/bugs.html Documentation: http://cygwin.com/docs.html FAQ: http://cygwin.com/faq/